K. Bailey, L. Ceze, S. D. Gribble, and H. M. Levy, Operating system implications of fast, cheap, non-volatile memory, Proceedings of the 13th USENIX Conference on Hot Topics in Operating Systems, 2011.

H. Volos, S. Panneerselvam, S. Nalli, and M. M. Swift, Storage-class memory needs flexible interfaces, Proceedings of the 4th Asia-Pacific Workshop on Systems, p.11, 2013.
DOI : 10.1145/2500727.2500732

URL : http://pages.cs.wisc.edu/~swift/papers/apsys13_aerie.pdf

S. Alam and N. Horspool, A survey: Software-managed on-chip memories, Computing and Informatics, vol.34, issue.5, 2015.

H. Jayakumar, K. Lee, W. S. Lee, A. Raha, Y. Kim et al., Powering the internet of things, IEEE/ACM International Symposium on Low Power Electronics and Design, 2014.

A. S. Adila, A. Husam, and G. Husi, Towards the self-powered Internet of Things (IoT) by energy harvesting, International Symposium on Small-scale Intelligent Manufacturing Systems, 2018.

D. Balsamo, A. S. Weddell, G. V. Merrett, B. M. Al-hashimi, D. Brunelli et al., Hibernus: Sustaining computation during intermittent supply for energy-harvesting systems, IEEE Embedded Systems Letters, vol.7, issue.1, 2015.
DOI : 10.1109/les.2014.2371494

URL : https://eprints.soton.ac.uk/371787/1/hibernus.pdf

G. Berthou, T. Delizy, K. Marquet, T. Risset, and G. Salagnac, Peripheral State Persistence For Transiently Powered Systems, 2017.
DOI : 10.1109/giots.2017.8016243

URL : https://hal.archives-ouvertes.fr/hal-01609277

B. Ransford, J. Sorber, and K. Fu, Mementos: System support for longrunning computation on rfid-scale devices, SIGARCH Comput. Archit. News, 2011.

H. Jayakumar, A. Raha, and V. Raghunathan, Quickrecall: A low overhead hw/sw approach for enabling computations across power cycles in transiently powered computers, International Conference on VLSI Design and International Conference on Embedded Systems, 2014.
DOI : 10.1109/vlsid.2014.63

J. Layer, . Bernard-granger, . Decloedt, . Becker, . Jabeur et al., Reducing System Power Consumption Using Check-Pointing on Nonvolatile Embedded Magnetic Random Access Memories, ACM Journal on Emerging Technologies in Computing Systems, 2016.
DOI : 10.1145/2876507

URL : https://hal.archives-ouvertes.fr/hal-01835848

K. Ma, Y. Zheng, S. Li, K. Swaminathan, X. Li et al., Architecture exploration for ambient energy harvesting nonvolatile processors, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), pp.526-537, 2015.
DOI : 10.1109/hpca.2015.7056060

Z. Fan, J. Chen, and J. Wang, Ferroelectric hfo 2-based materials for next-generation ferroelectric memories, Journal of Advanced Dielectrics, vol.06, issue.02, p.1630003, 2016.
DOI : 10.1142/s2010135x16300036

URL : https://www.worldscientific.com/doi/pdf/10.1142/S2010135X16300036

S. Yu and P. Y. Chen, Emerging memory technologies: Recent trends and prospects, IEEE Solid-State Circuits Magazine, vol.8, issue.2, pp.43-56, 2016.
DOI : 10.1109/mssc.2016.2546199

J. Hu, C. J. Xue, Q. Zhuge, W. Tseng, E. H. et al., Towards energy efficient hybrid on-chip scratch pad memory with non-volatile memory, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011.

B. Egger, S. Kim, C. Jang, J. Lee, S. L. Min et al., Scratchpad Memory Management Techniques for Code in Embedded Systems without an MMU, IEEE Transactions on Computers, vol.59, issue.8, pp.1047-1062, 2010.
DOI : 10.1109/tc.2009.188

D. Cho, S. Pasricha, I. Issenin, N. D. Dutt, M. Ahn et al., Adaptive scratch pad memory management for dynamic behavior of multimedia applications, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.4, pp.554-567, 2009.

G. Rodríguez, J. Touriño, and M. T. Kandemir, Volatile STT-RAM Scratchpad Design and Data Allocation for Low Energy, ACM Transactions on Architecture and Code Optimization, vol.11, issue.4, 2014.

A. Shrivastava, A. Kannan, and J. Lee, A software-only solution to use scratch pads for stack data, IEEE Transactions on computer-aided design of integrated circuits and systems, vol.28, issue.11, 2009.
DOI : 10.1109/tcad.2009.2030592

R. Mcilroy, P. Dickman, and J. Sventek, Efficient dynamic heap allocation of scratch-pad memory, Proceedings of the 7th international symposium on Memory management, 2008.

T. R. Mück and A. A. Fröhlich, Run-time scratch-pad memory management for embedded systems, 37th Annual Conference on IEEE Industrial Electronics Society

A. Dominguez, S. Udayakumaran, and R. Barua, Heap data allocation to scratch-pad memory in embedded systems, Journal of Embedded Computing, vol.1, issue.4, pp.521-540, 2005.

Q. Li, Y. Zhao, J. Hu, C. J. Xue, E. Sha et al., Mgc: Multiple graph-coloring for non-volatile memory based hybrid scratchpad memory, 16th Workshop IEEE Interaction between Compilers and Computer Architectures, 2012.
DOI : 10.1109/interact.2012.6339622

URL : http://aces.snu.ac.kr/interact-16/papers/interact-16-paper-3.pdf

J. Hu, C. J. Xue, Q. Zhuge, W. Tseng, E. H. et al., Data allocation optimization for hybrid scratch pad memory with SRAM and nonvolatile memory, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, issue.6, pp.1094-1102, 2013.
DOI : 10.1109/tvlsi.2012.2202700

P. R. Wilson, M. S. Johnstone, M. Neely, and D. Boles, Dynamic storage allocation: A survey and critical review, Memory Management, pp.1-116, 1995.
DOI : 10.1007/3-540-60368-9_19

URL : http://www.cs.cmu.edu/afs/cs.cmu.edu/academic/class/15213-s00/doc/dsa.pdf

D. Lea, A memory allocator, 2012.

. Soclib, Projet SOCLIB: Plate-forme de modélisation et de simulation de systèmes integrés sur puce, CNRS, 2003.

K. Gabis, Parson: Lightweight JSON library written in C, 2012.

M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge et al., Mibench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization, 2001.
DOI : 10.1109/wwc.2001.990739

J. E. Fritts, F. W. Steiling, and J. A. Tucek, Mediabench II video: expediting the next generation of video systems research, Microprocessors and Microsystems, vol.33, 2009.
DOI : 10.1016/j.micpro.2009.02.010

URL : http://euler.slu.edu/~fritts/papers/fritts_spie05_mbvideo.pdf

G. Foundries, Embedded memory: emram, eflash, sip, 2018.